Contents filter
File Package Branch Repository Architecture
/usr/share/alsa/cards/SB-XFi.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/SI7018.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/TRID4DWAVENX.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/USB-Audio.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VIA686A.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VIA8233.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VIA8233A.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VIA8237.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VX222.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VXPocket.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/VXPocket440.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/YMF744.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/aliases.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/pistachio-card.conf alsa-lib edge main x86_64
/usr/share/alsa/cards/vc4-hdmi.conf alsa-lib edge main x86_64
/usr/share/alsa/ctl/default.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/center_lfe.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/default.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/dmix.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/dpl.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/dsnoop.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/front.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/hdmi.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/iec958.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/modem.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/rear.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/side.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround21.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround40.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround41.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround50.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround51.conf alsa-lib edge main x86_64
/usr/share/alsa/pcm/surround71.conf alsa-lib edge main x86_64